Übungen, Changing front end to apple - iPhone 14

Antworten
Benutzeravatar
davidvajda.de
Site Admin
Beiträge: 1555
Registriert: Di Jul 18, 2023 8:36 pm
Wohnort: D-72072, Tübingen
Kontaktdaten:

Übungen, Changing front end to apple - iPhone 14

Beitrag von davidvajda.de »

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Code: Alles auswählen

-- https://phpbb3.ituenix.de/viewtopic.php?p=2504&sid=fe31b12ff2b3b374eaca692913acc42d#p2504

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0     1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0     1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4: 
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1 0 - 0
8:12    1 - 0 0
10:11   1 0 1 -
7:15    - 1 1 1
11:15   1 - 1 1

0:1     0 0 0 -
10:11   1 0 1 -
0:2     0 0 - 0
8:10    1 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15    - 1 1 1
8:12    1 - 0 0
11:15   1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11   1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15    - 1 1 1

Gruppe 1:
8:12    1 - 0 0
Gruppe 3: 
11:15   1 - 1 1

0:1             0 0 0 -
10:11           1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1 0 - 0

0:2:8:10        - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10        - 0 - 0

Gruppe 3:
7:15            - 1 1 1

Gruppe 1:
8:12            1 - 0 0
Gruppe 3: 
11:15           1 - 1 1

0:1             0 0 0 -
10:11           1 0 1 -
0:2:8:10        - 0 - 0
7:15            - 1 1 1
8:12            1 - 0 0
11:15           1 - 1 1

Primimplikantentafel

                0  1  2  7  8 10 11 12 15
0:1             *  *
10:11                         *  *
0:2:8:10        *     *     * *
7:15                     *             *
8:12                        *       *
11:15                            *     *

                0  1  2  7  8 10 11 12 15
0:1             *  *                      p
10:11                         *  *
0:2:8:10        *     *     * *           p
7:15                     *             *  p
8:12                        *       *     p
11:15                            *     *  

               0  1  2  7  8 10 11 12 15
0:1             *  *                      p
10:11                         *  *
0:2:8:10        *     *     * *           p
7:15                     *             *  p
8:12                        *       *     p

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10            - 0 - 0
7:15                - 1 1 1
8:12                1 - 0 0

y <= (not x3 and not x2 and not x1) or
     (x3 and not x2 or x1) or
  (not x2 or not x0) or
  (x2 and x1 and x0) or
  (x3 and not x1 and not x0)

libray ieee;
use std_logic_1164.all;

entity test is
port
(
 
 
);
end;

architecture behaviour of test is
begin

end;

Code: Alles auswählen

--  Defines a design entity
library ieee;
use ieee.std_logic_1164.all;

entity quine20240616 is
port (
 x3, x2, x1, x0: in std_logic;
 y: out std_logic
);
end quine20240616;

architecture behaviour of quine20240616 is
begin
    y <= (not x3 and not x2 and not x1) or
         (x3 and not x2 and x1) or
   (not x2 and not x0) or
   (x2 and x1 and x0) or
   (x3 and not x1 and not x0);

end;

library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;

entity quine20240616testbench is

end;

architecture behaviour of quine20240616testbench is
   component quine20240616
   port (
        x3, x2, x1, x0: in std_logic;
 y: out std_logic
   );
   end component;
   signal x3, x2, x1, x0, y: std_logic;
begin
    q: quine20240616 PORT MAP (x3=>x3,x2=>x2,x1=>x1,x0=>x0,y=>y);
        
 process  
 variable l: line;
    begin
        x3 <= '0';
  x2 <= '0';
  x1 <= '0';
  x0 <= '0';
     write (l, String'(x3,x2,x1,x0,y));
  writeline (output, l);
        wait;
    end process;

end behaviour;
So, da gibt es noch ein paar probleme bei vhdl ohne gtkwave. Ich weiss nicht, wie man mit write signale ausgibt, und: wie erziele ich dass genau eine komponente ausgeführt wird. Ich löse das problem wann anders

Ich muss jetzt die testbench neu schreiben, ich verwende dafür ja bisher einen generator, der es für gtkwave macht. Deswegen jetzt mit write und ouput, aber das ist eine frage des generators.

Oder ich schreibe es ein mal und verwende es als template, vorlage ohne es jedes mal zu schreiben.

Oder noch besser, mit write brauche ich keine nanosekunden. Es ist ein process, aber danach wird jedes mal write ausgeführt

Code: Alles auswählen

-- https://phpbb3.ituenix.de/viewtopic.php?p=2504&sid=fe31b12ff2b3b374eaca692913acc42d#p2504

--  Defines a design entity
use std.textio.all;
entity test20240616 is

end test20240616;

architecture behaviour of test20240616 is

begin
    process
      variable l: line;
    begin
        write (l, String'('0','1'));
  writeline (output, l);
        wait;
    end process;

end behaviour;

Code: Alles auswählen

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0     1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0     1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4: 
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1 0 - 0
8:12    1 - 0 0
10:11   1 0 1 -
7:15    - 1 1 1
11:15   1 - 1 1

0:1     0 0 0 -
10:11   1 0 1 -
0:2     0 0 - 0
8:10    1 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15    - 1 1 1
8:12    1 - 0 0
11:15   1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11   1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15    - 1 1 1

Gruppe 1:
8:12    1 - 0 0
Gruppe 3: 
11:15   1 - 1 1

0:1             0 0 0 -
10:11           1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1 0 - 0

0:2:8:10        - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10        - 0 - 0

Gruppe 3:
7:15            - 1 1 1

Gruppe 1:
8:12            1 - 0 0
Gruppe 3: 
11:15           1 - 1 1

0:1             0 0 0 -
10:11           1 0 1 -
0:2:8:10        - 0 - 0
7:15            - 1 1 1
8:12            1 - 0 0
11:15           1 - 1 1

Primimplikantentafel

                0  1  2  7  8 10 11 12 15
0:1             *  *
10:11                         *  *
0:2:8:10        *     *     * *
7:15                     *             *
8:12                        *       *
11:15                            *     *

                0  1  2  7  8 10 11 12 15
0:1             *  *                      p
10:11                         *  *
0:2:8:10        *     *     * *           p
7:15                     *             *  p
8:12                        *       *     p
11:15                            *     *  

               0  1  2  7  8 10 11 12 15
0:1             *  *                      p
10:11                         *  *
0:2:8:10        *     *     * *           p
7:15                     *             *  p
8:12                        *       *     p

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10            - 0 - 0
7:15                - 1 1 1
8:12                1 - 0 0

y <= (not x3 and not x2 and not x1) or
     (x3 and not x2 or x1) or
  (not x2 or not x0) or
  (x2 and x1 and x0) or
  (x3 and not x1 and not x0)
  
Bild

Ich habe mir überlegt ob ich tübingen eine mache. Ich suche mir ein paar punkte raus. Und suche nach wegen, wo ich zwischen nicht drei punkten zwingend den selben laufe, also: wenn ich sehe, hier war ich noch nicht, aber war auf jeder kante bzw. strecke, die ich meinte, dann war es eine

Machen wir eine eulertour? Das eine ist olanzapin, das andere ein trizyklisches as antideptressivum und sie werden sehen, es geht nicht. Weil es gehen drei kanten raus

Bild

Bild

Code: Alles auswählen

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4: 
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1  0 - 0
8:12     1 - 0 0
10:11    1 0 1 -
7:15     - 1 1 1
11:15    1 - 1 1

0:1     0 0 0 -
10:11    1 0 1 -
0:2     0 0 - 0
8:10    1  0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15     - 1 1 1
8:12     1 - 0 0
11:15    1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11    1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15     - 1 1 1

Gruppe 1:
8:12     1 - 0 0
Gruppe 3: 
11:15    1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

0:2:8:10         - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10         - 0 - 0

Gruppe 3:
7:15                 - 1 1 1

Gruppe 1:
8:12                  1 - 0 0
Gruppe 3: 
11:15                  1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10         - 0 - 0
7:15                 - 1 1 1
8:12                  1 - 0 0
11:15                  1 - 1 1

Primimplikantentafel

                      0 1 2 7 8 10 11 12 15
0:1                 *  *
10:11                               * *
0:2:8:10        *    *     *   *
7:15                         *                    *
8:12                            *.            *
11:15                                  *.         *

                      0 1 2 7 8 10 11 12 15
0:1                 *  *
10:11                               * *
0:2:8:10        *    *     *   *
7:15                         *                    *
8:12                            *.            *

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10         - 0 - 0
7:15                 - 1 1 1
8:12                  1 - 0 0

y <= not x3 and not x2 and not x1
or (x3... spaeter weiter

Code: Alles auswählen

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4: 
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1  0 - 0
8:12     1 - 0 0
10:11    1 0 1 -
7:15     - 1 1 1
11:15    1 - 1 1

0:1     0 0 0 -
10:11    1 0 1 -
0:2     0 0 - 0
8:10    1  0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15     - 1 1 1
8:12     1 - 0 0
11:15    1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11    1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15     - 1 1 1

Gruppe 1:
8:12     1 - 0 0
Gruppe 3: 
11:15    1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

0:2:8:10         - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10         - 0 - 0

Gruppe 3:
7:15                 - 1 1 1

Gruppe 1:
8:12                  1 - 0 0
Gruppe 3: 
11:15                  1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10         - 0 - 0
7:15                 - 1 1 1
8:12                  1 - 0 0
11:15                  1 - 1 1
 
 

Code: Alles auswählen

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4: 
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1  0 - 0
8:12     1 - 0 0
10:11    1 0 1 -
7:15     - 1 1 1
11:15    1 - 1 1

0:1     0 0 0 -
10:11    1 0 1 -
0:2     0 0 - 0
8:10    1  0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15     - 1 1 1
8:12     1 - 0 0
11:15    1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11    1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15     - 1 1 1

Gruppe 1:
8:12     1 - 0 0
Gruppe 3: 
11:15    1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

0:2:8:10         - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10         - 0 - 0

Gruppe 3:
7:15                 - 1 1 1

Gruppe 1:
8:12                  1 - 0 0
Gruppe 3: 
11:15                  1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10         - 0 - 0
7:15                 - 1 1 1
8:12                  1 - 0 0
11:15                  1 - 1 1

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Den fpga mache ich heute abend. Vhdl mips32 immer sbends. Morgens lernen nachmittags aufgaben

Ich lerne auch auswendig. Jetzt. Ich lerne auch wieder computersysteme. Mit dem eineb smartphone filme ich, an dem anderen lese ich.

So, ich mache jetzt eine aufgabe mit quine mc cluskey.

Bild

Code: Alles auswählen

<?php
session_start ();
?>

<!-- https://phpbb3.ituenix.de/viewtopic.php?p=2504&sid=fe31b12ff2b3b374eaca692913acc42d#p2504 -->

<form action="./form20240614.php" method="POST">
<input type="text" name="form20240614a">
<input type="submit">
</form>

<?php
echo session_id () . "<br>\n";

setcookie ("form20240614c", "Hallo Welt", time () + 1200);

echo htmlentites ($_POST ["form20240614a"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240614b"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240614c"]) . "<br>\n";

session_destroy ();
?>
Bild

Bild

Code: Alles auswählen

<?php
session_start ();
?>

<!-- https://phpbb3.ituenix.de/viewtopic.php?p=2504&sid=fe31b12ff2b3b374eaca692913acc42d#p2504 -->

<form action="./form20240614.php" method="POST">
<input type="text" name="form20240614a">
<input type="submit">
</form>

<?php
echo session_id () . "<br>\n";

setcookie ("form20240614c", "Hallo Welt", time () + 1200);

echo htmlentites ($_POST ["form20240614a"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240614b"]) . "<br>\n";
echo htmlentities ($_COOKI ["form20240614c"]) . "<br>\n";

session_destroy ();
?>


Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

So, ich mache jetzt die üblichen Aufgaben. Auf dem Linux Server aber dies mal vom Apple iPhone als sichtgerät aus.

Ich fange heute auch mit dem mips32 an, jeden Tag ein bisschen, sonst ändert sich nichts

Bild

Bild

Ich erstelle gleich mal eine Übungs pdf wie üblich und zeige es geht.

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Ich gebe das jetzt auf der Firewall frei und hoffe, ich komme aus dem Internet rein

Ok, dann können wir anfangen, ssh tut und ftp auch

Bild

Bild

Bild

Ok proftpd funktioniert natürlich so wie jeder Server unter Linux. Postfix und co. Die Nutzer die da sind, sind da. Ich hatte mehrfach proftpd aber nie wirklich genutzt. Ich habe jetzt in der manpage nach geschaut. Sie brauchen den anonymes User ftp nicht freischalten

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Ich richte einfach auf dem Linux Server einen ftp Server ein. Per ssh natürlich. Und ich mache einen ftp Client auf das Smartphone. Dazu gebe ich die Ports von ssh und ftp frei. Und gucke ob ich sie aus dem Netz erreiche. Um einen meiner Rechner muss ich mich noch kümmern. Dann bin ich fertig.

Gcc, c Compiler, pdflatex, gpg, public key encryption, ich meine kde von Linux benutzt gpg, aber das ist egal. Wozu brauche ich das? Ich benutze gpg über die Konsole. Webserver, auch OpenSSL, alles. Ich meine mit gpg verschlüssele ich Mails zur Not oder lese sie, aber warum sollte ich das nicht auf dem Linux Server selber machen. Mail Inhalt auf den Server da entschlüsseln, das ist nur Text. Alles mache ich über die Konsole ssh. Auch Ghdl oder sogar gtkwave. Warum nicht das Bild kann ich rüber schicken. Und: ansonsten ist mein iPhone mein sichtgerät, benutze ich als frontend

Pdflatex, pdftoppm, alles Konsole

Also, ich habe den vhdl Code, ich mache morgen weiter. Und keine Sorge in meinen Verzeichnissen herrscht Ordnung

Code: Alles auswählen

--- https://phpbb3.ituenix.de/viewtopic.php?p=2504&sid=fe31b12ff2b3b374eaca692913acc42d#p2504

library ieee;
use ieee.std_logic_1164.all;

entity rslatch is
port (
    r, s: in std_logic;
    q: out std_logic
);
end;

architecture verhalten of rslatch is
    signal q1, q2: std_logic;
    signal init: std_logic;
begin
    init <= '0' after 0 ns, '1' after 1 ns;

    q1 <= '1' when (init='0') else
            (q2 nor r);
    q2 <= '0' when (init='0') else
            (q1 nor s);
    q <= q1;
end;

library ieee;
use ieee.std_logic_1164.all;

entity clockcontrolledrslatch is
port (
    r, s: in std_logic;
    c: in std_logic;
    q: out std_logic
);
end;

architecture behaviour of clockcontrolledrslatch is
    component rslatch
    port (
        r, s: in std_logic;
        q: out std_logic
    );
    end component;
    signal r1, s1: std_logic;
begin
    rslatch1: rslatch PORT MAP (r=>r1, s=>s1, q=>q);
    s1 <= (s and c);
    r1 <= (r and c);
end;

library ieee;
use ieee.std_logic_1164.all;

entity dlatch is
port (
    d: in std_logic;
    c: in std_logic;
    q: out std_logic
);
end;

architecture behaviour of dlatch is
    component clockcontrolledrslatch
    port (
        r, s: in std_logic;
        c: in std_logic;
        q: out std_logic
    );
    end component;
    signal r1, s1: std_logic;
begin
    clockcontrolledrslatch1: clockcontrolledrslatch PORT MAP (r=>r1, s=>s1, q=>q, c=>c);
    s1 <= not d;
    r1 <= d;
end;

library ieee;
use ieee.std_logic_1164.all;

entity dmsflipflop is
port (
    d: in std_logic;
    c: in std_logic;
    q: out std_logic
);
end;

architecture behaviour of dmsflipflop is
    component dlatch
    port (
        d: in std_logic;
        c: in std_logic;
        q: out std_logic
    );
    end component;
    signal d1, d2: std_logic;
    signal c1, c2: std_logic;
    signal q1, q2: std_logic;
begin
    dlatch1: dlatch PORT MAP (d=>d1, c=>c1, q=>q1);
    dlatch2: dlatch PORT MAP (d=>d2, c=>c2, q=>q2);
    c1 <= c;
    c2 <= not c;
    d1 <= d;
    d2 <= q1;
    q <= q2;
end;

library ieee;
use ieee.std_logic_1164.all;

entity flipfloptestbench is
port (
    q: out std_logic
);
end;

architecture behaviour of flipfloptestbench is
    component dmsflipflop
    port (
        d: in std_logic;
        c: in std_logic;
        q: out std_logic
    );
    end component;
    signal d, c: std_logic;
begin
    dmsflipflop1: dmsflipflop PORT MAP (q=>q, d=>d, c=>c);

    c <= '0' after 0 ns, '1' after 20 ns, '0' after 40 ns, '1' after 60 ns, '0' after 80 ns, '1' after 100 ns, '0' after 120 ns, '1' after 140 ns,
            '0' after 160 ns, '1' after 180 ns, '0' after 200 ns, '1' after 220 ns, '0' after 240 ns,
            '1' after 260 ns, '0' after 280 ns, '1' after 300 ns, '0' after 320 ns, '1' after 340 ns;
    d <= '0' after 0 ns, '1' after 150 ns, '0' after 250 ns;

end;

library ieee;
use ieee.std_logic_1164.all;

entity reg32 is
port (
    we: in std_logic;
    q: out std_logic_vector (31 downto 0);
    d: in std_logic_vector (31 downto 0)
);
end;

architecture behaviour of reg32 is
    component dmsflipflop
    port (
        d: in std_logic;
        c: in std_logic;
        q: out std_logic
    );
    end component;
begin
    l1:
    for i in 0 to 31 generate
        dmsflipflop1: dmsflipflop PORT MAP (q=>q(i), d=>d(i), c=>we);
    end generate;
end;

library ieee;
use ieee.std_logic_1164.all;

entity reg32testbench is
port (
    q: out std_logic_vector (31 downto 0)
);
end;

architecture behaviour of reg32testbench is
    component reg32
    port (
        d: in std_logic_vector (31 downto 0);
        we: in std_logic;
        q: out std_logic_vector (31 downto 0)
    );
    end component;
    signal d: std_logic_vector (31 downto 0);
    signal we: std_logic;
begin
    reg32a: reg32 PORT MAP (d=>d, q=>q, we=>we);

    d(0) <= '1';
    d(1) <= '0';
    d(2) <= '1';
    d(3) <= '0';
    d(4) <= '1';
    d(5) <= '0';
    d(6) <= '1';
    d(7) <= '0';
    d(8) <= '1';
    d(9) <= '1';
    d(10) <= '0';
    d(11) <= '1';
    d(12) <= '0';
    d(13) <= '1';
    d(14) <= '0';
    d(15) <= '1';
    d(16) <= '0';
    d(17) <= '1';
    d(18) <= '0';
    d(19) <= '1';
    d(20) <= '0';
    d(21) <= '1';
    d(22) <= '0';
    d(23) <= '1';
    d(24) <= '0';
    d(25) <= '1';
    d(26) <= '0';
    d(27) <= '1';
    d(28) <= '0';
    d(29) <= '1';
    d(30) <= '0';
    d(31) <= '1';
    we <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns,    '1' after 60 ns, '0' after 80 ns;

end;

library ieee;
use ieee.std_logic_1164.all;

entity addressdecoder5to32 is
port (
    a: in std_logic_vector (4 downto 0);
    b: out std_logic_vector (31 downto 0)
);
end;

architecture behaviour of addressdecoder5to32 is
begin
    -- 00000
    b (0) <= not a (4) and not a (3) and not a (2) and not a (1) and not a (0);
    -- 00001
    b (1) <= not a (4) and not a (3) and not a (2) and not a (1) and a (0);
    -- 00010
    b (2) <= not a (4) and not a (3) and not a (2) and a (1) and not a (0);
    -- 00011
    b (3) <= not a (4) and not a (3) and not a (2) and a (1) and a (0);

    -- 00100
    b (4) <= not a (4) and not a (3) and a (2) and not a (1) and not a (0);
    -- 00101
    b (5) <= not a (4) and not a (3) and a (2) and not a (1) and a (0);
    -- 00110
    b (6) <= not a (4) and not a (3) and a (2) and a (1) and not a (0);
    -- 00111
    b (7) <= not a (4) and not a (3) and a (2) and a (1) and a (0);

    -- 01000
    b (8) <= not a (4) and a (3) and not a (2) and not a (1) and not a (0);
    -- 01001
    b (9) <= not a (4) and a (3) and not a (2) and not a (1) and a (0);
    -- 01010
    b (10) <= not a (4) and a (3) and not a (2) and a (1) and not a (0);
    -- 01011
    b (11) <= not a (4) and a (3) and not a (2) and a (1) and a (0);

    -- 01100
    b (12) <= not a (4) and a (3) and a (2) and not a (1) and not a (0);
    -- 01101
    b (13) <= not a (4) and a (3) and a (2) and not a (1) and a (0);
    -- 01110
    b (14) <= not a (4) and a (3) and a (2) and a (1) and not a (0);
    -- 01111
    b (15) <= not a (4) and a (3) and a (2) and a (1) and a (0);

    -- 10000
    b (16) <= a (4) and not a (3) and not a (2) and not a (1) and not a (0);
    -- 10001
    b (17) <= a (4) and not a (3) and not a (2) and not a (1) and a (0);
    -- 10010
    b (18) <= a (4) and not a (3) and not a (2) and a (1) and not a (0);
    -- 10011
    b (19) <= a (4) and not a (3) and not a (2) and a (1) and a (0);

    -- 10100
    b (20) <= a (4) and not a (3) and a (2) and not a (1) and not a (0);
    -- 10101
    b (21) <= a (4) and not a (3) and a (2) and not a (1) and a (0);
    -- 10110
    b (22) <= a (4) and not a (3) and a (2) and a (1) and not a (0);
    -- 10111
    b (23) <= a (4) and not a (3) and a (2) and a (1) and a (0);

    -- 11000
    b (24) <= a (4) and a (3) and not a (2) and not a (1) and not a (0);
    -- 11001
    b (25) <= a (4) and a (3) and not a (2) and not a (1) and a (0);
    -- 11010
    b (26) <= a (4) and a (3) and not a (2) and a (1) and not a (0);
    -- 11011
    b (27) <= a (4) and a (3) and not a (2) and a (1) and a (0);

    -- 11100
    b (28) <= a (4) and a (3) and a (2) and not a (1) and not a (0);
    -- 11101
    b (29) <= a (4) and a (3) and a (2) and not a (1) and a (0);
    -- 11110
    b (30) <= a (4) and a (3) and a (2) and a (1) and not a (0);
    -- 11111
    b (31) <= a (4) and a (3) and a (2) and a (1) and a (0);

end;

library ieee;
use ieee.std_logic_1164.all;

entity addressdecoder5to32testbench is
port (
    q: out std_logic_vector (31 downto 0)
);
end;

architecture behaviour of addressdecoder5to32testbench is
    component addressdecoder5to32
    port (
        a: in std_logic_vector (4 downto 0);
        b: out std_logic_vector (31 downto 0)
    );
    end component;
    signal d: std_logic_vector (4 downto 0);
begin
    addressdecoder5to32a: addressdecoder5to32 PORT MAP (b=>q, a=>d);
d (0) <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns, '0' after 160 ns, '1' after 170 ns, '0' after 180 ns, '1' after 190 ns, '0' after 200 ns, '1' after 210 ns, '0' after 220 ns, '1' after 230 ns, '0' after 240 ns, '1' after 250 ns, '0' after 260 ns, '1' after 270 ns, '0' after 280 ns, '1' after 290 ns, '0' after 300 ns, '1' after 310 ns;
 d (1) <= '0' after 0 ns, '1' after 20 ns, '0' after 40 ns, '1' after 60 ns, '0' after 80 ns, '1' after 100 ns, '0' after 120 ns, '1' after 140 ns, '0' after 160 ns, '1' after 180 ns, '0' after 200 ns, '1' after 220 ns, '0' after 240 ns, '1' after 260 ns, '0' after 280 ns, '1' after 300 ns, '0' after 320 ns, '1' after 340 ns;
 d (2) <= '0' after 0 ns, '1' after 40 ns, '0' after 80 ns, '1' after 120 ns, '0' after 160 ns, '1' after 200 ns, '0' after 240 ns, '1' after 280 ns, '0' after 320 ns, '1' after 360 ns;
 d (3) <= '0' after 0 ns, '1' after 80 ns, '0' after 160 ns, '1' after 240 ns, '0' after 320 ns, '1' after 400 ns;
 d (4) <= '0' after 0 ns, '1' after 160 ns;

end;

library ieee;
use ieee.std_logic_1164.all;

entity registerset32x32 is
port (
    writereg: in std_logic_vector (4 downto 0);
    readreg1: in std_logic_vector (4 downto 0);
    readreg2: in std_logic_vector (4 downto 0);
    readport1: out std_logic_vector (31 downto 0);
    readport2: out std_logic_vector (31 downto 0);
    writeport: in std_logic_vector (31 downto 0);
    we: in std_logic
);
end;

architecture behaviour of registerset32x32 is
    component reg32
    port (
        d: in std_logic_vector (31 downto 0);
        we: in std_logic;
        q: out std_logic_vector (31 downto 0)
    );
    end component;
    component addressdecoder5to32
    port (
        a: in std_logic_vector (4 downto 0);
        b: out std_logic_vector (31 downto 0)
    );
    end component;
    signal writereg1: std_logic_vector (31 downto 0);
begin
    addressdecoder5to32a: addressdecoder5to32 PORT MAP (a=>writereg, b=>writereg1);
    l1:
    for i in 0 to 31 generate
        reg32a: reg32 PORT MAP (we=>writereg1(i),d=>writeport,q=>readport1);  -- WARNING - WARNING
    end generate;
end;
Ach gucken sie mal da. Gucken die mal rechts in der Mitte. Da steht mips32singlecycle.vhdl. Das ist das aktuelle. Das war nämlich der Laptop, auf dem ich es zu letzt gemacht habe. Auf Google Drive habe ich die Datei in meinen üblichen Verzeichnissen gespeichert. Aber auf dem Rechner zum dran machen in /home/David deswegen Nicht wundern, zum nicht finden. Das ist zum dran machen. Aus Stromspagründen habe ich den Laptop zum Server gemacht, aber die Dateien gelassen.

Ich stelle den Code vor

Bild

Bild

Bild

Ich habe ehrlich gesagt, 2 oder 3 mips Dateien, die auf denn der Implementierung der CPU hinweisen. Ich weiß jetzt nicht was was ist, aber ich bemühe mich jetzt erst mal um das Write, um zu sehen, ob es gute Ausgaben macht.

Ich weiß normalerweise schone welche Datei welche ist. Durch die Übungen sind es 10.000e nach Datum. Allerdings wusste ich nicht wo in Google Drive die mips sind und ich habe die gesucht. Es kamen Ergebnisse aber ich habe nicht gesehen, in welchem Ordner. Die haben Datums Namen. Der mit dem älteren ist es. Ich würde jetzt folgendes vorschlagen: ich mache jeden Tag normal die Übungen weiter, ganz normal und ich mache 10min bis 30min an dem mips32 weiter. Das sind nicht so viele Komponenten. Die der mips32 hat. Und eine ist relativ easy. Ich mache dann jeden Tag ein bisschen ohne des Rest zu vernachlässigen, das darf nicht passieren.

Ich mache jeden Tag gemütlich und fange morgen an

Bild

Bild

Gut, ich höre jetzt auf, Hauptsache das einloggen im Server geht, Per ssh. Der Rest ist ja geschenkt. Das mache ich noch. Ich wollte ja jetzt den mips machen und darum geht es. Damit fange jetzt an. Ich poste die Codes zu dem vhdl Zeug, was ich schon habe

Der Vorteil an dem vhdl Programm was ich jetzt habe, das ist keiner. Aber ich war dumm. Es gibt in vhdl ja die write Funktion. Ich war blöd genug Gtkwave für das debuggen eines 32x32 registersatzes nutzen zu wollen. Das ist natürlich hoffnungslos und war auch die Stelle wo ich in vhdl bei mips32 Pause einlegte. Die Idee den registersatz und den mux zu kadkadieren war gut, nur nicht mit gtkwave testen. Gut, dann sind wir schon weiter

Gleich nach einer kurzen Pause

Also curl will mit Google nicht. Ich probiere es mit nextcloud. Und natürlich, über ssh auch kopieren und sftp

Bild

Bild

Das ist der link, ich probiere es auf dem Linux Rechner mit curl herunter zu laden

Ich muss den link etwas umwandeln

Bild

Bild

Bild

Bild

Bild

Keine Sorge ich bin nicht draußen aus Linux. Ich benutze Linux so weiter. Ich suche nur nach einem anderen frontend, an dem ich den Hauptteil des Jobs mache

Auch Ghdl als offenes vhdl kann ich auf dem Linux Server nutzen




Ich kann auch die pdf Dateien zum Beispiel oder die geschriebenen auf meine Homepage tun. Oder auf Google und den link freigeben

Dann kann ich sie auf dem Server mit curl runter laden. Und dann wieder in das Homepage Verzeichnis tun. Von da aus habe ich sie wieder auf dem Smartphone, probieren wir das mal aus?

Bild

Ich kann auch die pdf Dateien zum Beispiel oder die geschriebenen auf meine Homepage tun. Oder auf Google und den link freigeben

Dann kann ich sie auf dem Server mit curl runter laden. Und dann wieder in das Homepage Verzeichnis tun. Von da aus habe ich sie wieder auf dem Smartphone, probieren wir das mal aus?

Bild

So, ich weiß jetzt nicht ob Scp tut, damit ich Dateien rüber kopieren kann, das müsste noch klappen

Bild

Es geht geil, das ssh ist rein gekommen. Das ist mein Linux Rechner Server

Gut, aber das ist eine Idee, ich verschwende jetzt nicht viel zeit auf die einrichtung. ICh benutze so la la den C Compiler auf dem Smartphone, so wie LaTeX. Wenn es nicht geht, nehme ich die SSH - und gehe in den Linux Server rein.
ICh lasse den Port an der Firewall offen, damit ich das von überall her kann

Ich mache jetzt gleich weiter, mit MIPS32, in VHDL



Es gibt unter linux einfache Programme für die Konsole

Convert
Pdftoppm

Um pdf nach jpeg um zu Wandeln. Die vermisse ich hier. Aber ich kann es mit Screenshots machen.

Es gibt aber noch andere Möglichkeiten

Zum Beispiel habe ich ja ssh, die Shell/terminal um mich auf dem Linux Server ein zu loggen.

Ich kann die Datei rüberkopieren umwandeln und wieder rüber kopieren

Aber bevor ich weiter mache, teste ich diese Möglichkeiten. Ich habe ja ein c Programm geschrieben, für das erstellen der tex files. Ich nehme an der c Compiler auf Mac kann das. Ob es das Latex auf diesem Smartphone kann mit pstricks und den Graphen für Latex werden wir sehen.

Ich muss erst die Umgebung einrichten.

Gut, aber das ist eine Idee, ich verschwende jetzt nicht viel zeit auf die einrichtung. ICh benutze so la la den C Compiler auf dem Smartphone, so wie LaTeX. Wenn es nicht geht, nehme ich die SSH - und gehe in den Linux Server rein.
ICh lasse den Port an der Firewall offen, damit ich das von überall her kann

Ich mache jetzt gleich weiter, mit MIPS32, in VHDL


Bild

Bild

Also, ich fange jetzt an. Erst das blockschaltbild. Wie das die Befehle umsetzt sage ich erst,wenn der Prozessor fertig in vhdl geschrieben ist.


Gut, ich fange gleich an. Ich bin jetzt zu Hause. Ich veröffentliche noch mal erst, was ich an vhdl Quelltext habe. Tue es ins Smartphone. Dazu veröffetliche ich das blockschaltbild vom ein Zyklus mips32. Wir haben Zeit. Und jeden Tag ein bisschen. Dazu jetzt erst mal kaffee Pause



Doch passt auf Leute, ich habe euch von dem einzyklus mips32 erzahlt. Ich habe das komplette blockschaltbild im Kopf, pin für Pin. War Teil der Prüfung cs i/ii. Dieser ein Zyklus Mips32. Ist in vhdl schnell auf einem fpga untergebracht. Damit mache ich jetzt weiter. Ich hatte damit aufgehört weil ich für algo Mathe lernte

Was mir Apple wirklich voraus hat? Na ja, seine Prozessoren sind besser, wenn man sie in vhdl schreiben würde. Und sie können die Halbleiter halt selbst herstellen. Das kann ich leider nicht. Ich benutze fertige fpga von xilinx, deren Schaltungen man in vhdl programmiert. Das kann ich nicht. In vhdl schon. Aber ok.

Das geht aber und sie irren sich, wenn sie glauben ein mips32 liesse sich nicht vhdl hinschreiben.

Niemand ist so gut, wie Apple, das ist sicher. Texas Instruments vielleicht

Bild

Freeform gibt es bei Apple/mac Standard mäßig bei mit dabei, damit kann man zeichnen aber auch Projekte machen
Dazu ist es da

Bild

Bild

Bild

Bild

Bild

Bild

Ich kaufe mir so einen Stift für das Smartphone, dass ich damit zeichnen kann

Ich male in Zukunft meine Graphen mit dem Smartphone hoffentlich, gleich ist die App installiert, einen Moment

Bild

Bild

One Apple a day, keeps the dr. Away

Bild

Bild

Ich habe auch schon mehr oder weniger „Apps“ für das netz geschrieben. Funktionsplotter, Compiler. Für die reife Nutzung müsste ich sie dazu bearbeiten

Ich baue auch mit ttl74xx Gattern Schwltungen. Und eeprom 27xxx. Und ich habe jetzt eeprom und zilog z80

Ich habe lcd und 7 Segmente angeschlossen. Mir geht es bei dem Apple Smartphone darum, ich habe immer noch meine Homepage und meinen Server oder meine, mit linux. Aber mir geht es darum

Erstens ist Apple gut, hat Respekt verdient. Benutzt Konsole. Zweitens ich möchte außerdem Server nur noch das dmartphojecwls Rechner nutzen und nicht nur das, ich möchte eines das tut

Bild

Bash unter iPhone/applemac

Das zweite Bild enthält eine richtige bash Eingabe, vorher war es falsch

Bild

Bild
Antworten